Publicaciones en colaboración con investigadores/as de Boston University (22)

2024

  1. Scalability limitations of processing-in-memory using real system evaluations

    Proceedings of the ACM on Measurement and Analysis of Computing Systems, Vol. 8, Núm. 1

2023

  1. Accelerating Finite Field Arithmetic for Homomorphic Encryption on GPUs

    IEEE Micro, Vol. 43, Núm. 5, pp. 55-63

  2. GME: GPU-based Microarchitectural Extensions to Accelerate Homomorphic Encryption

    Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2023

2022

  1. Accelerating Polynomial Multiplication for Homomorphic Encryption on GPUs

    Proceedings - 2022 IEEE International Symposium on Secure and Private Execution Environment Design, SEED 2022

  2. NaviSim: A Highly Accurate GPU Simulator for AMD RDNA GPUs

    Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT

  3. Puppeteer: A Random Forest Based Manager for Hardware Prefetchers Across the Memory Hierarchy

    ACM Transactions on Architecture and Code Optimization, Vol. 20, Núm. 1

2021

  1. GNNMark: A Benchmark Suite to Characterize Graph Neural Network Training on GPUS

    Proceedings - 2021 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2021

  2. TAP-2.5D: A Thermally-Aware Chiplet Placement Methodology for 2.5D Systems

    Proceedings -Design, Automation and Test in Europe, DATE

2020

  1. Griffin: Hardware-software support for efficient page migration in multi-GPU systems

    Proceedings - 2020 IEEE International Symposium on High Performance Computer Architecture, HPCA 2020

  2. Valkyrie: Leveraging inter-TLB locality to enhance GPU performance

    Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT

2019

  1. MGPUSim: Enabling multi-GPU performance modeling and optimization

    Proceedings - International Symposium on Computer Architecture

2018

  1. Profiling DNN Workloads on a Volta-based DGX-1 System

    2018 IEEE International Symposium on Workload Characterization, IISWC 2018

2017

  1. Adaptive Tuning of Photonic Devices in a Photonic NoC Through Dynamic Workload Allocation

    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 36, Núm. 5, pp. 801-814

2016

  1. Electro-photonic NoC designs for Kilocore systems

    ACM Journal on Emerging Technologies in Computing Systems, Vol. 13, Núm. 2

  2. UMH: A hardware-based unified memory hierarchy for systems with multiple discrete GPUs

    ACM Transactions on Architecture and Code Optimization, Vol. 13, Núm. 4

2015

  1. Asymmetric NoC architectures for GPU systems

    Proceedings - 2015 9th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2015

  2. Efficient hardware-supported synchronization mechanisms for manycores

    Handbook on Data Centers (Springer New York), pp. 753-803

  3. Leveraging silicon-photonic NoC for designing scalable GPUs

    Proceedings of the International Conference on Supercomputing

  4. Managing laser power in silicon-photonic NoC through cache and NoC Reconfiguration

    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 34, Núm. 6, pp. 972-985

2014

  1. Thermal management of manycore systems with silicon-photonic networks

    Proceedings -Design, Automation and Test in Europe, DATE