Publicaciones en colaboración con investigadores/as de Uppsala University (44)

2023

  1. Speculative inter-thread store-to-load forwarding in SMT architectures

    Journal of Parallel and Distributed Computing, Vol. 173, pp. 94-106

2022

  1. Analysing software prefetching opportunities in hardware transactional memory

    Journal of Supercomputing, Vol. 78, Núm. 1, pp. 919-944

  2. Free Atomics: Hardware Atomic Operations without Fences

    Proceedings - International Symposium on Computer Architecture

  3. Splash-4: A Modern Benchmark Suite with Lock-Free Constructs

    Proceedings - 2022 IEEE International Symposium on Workload Characterization, IISWC 2022

2021

  1. Do Not Predict - Recompute! How Value Recomputation Can Truly Boost the Performance of Invisible Speculation

    Proceedings - 2021 International Symposium on Secure and Private Execution Environment Design, SEED 2021

  2. Efficient, distributed, and non-speculative multi-address atomic operations

    Proceedings of the Annual International Symposium on Microarchitecture, MICRO

  3. ITSLF: Inter-thread store-to-load forwarding in simultaneous multithreading

    Proceedings of the Annual International Symposium on Microarchitecture, MICRO

  4. Splash-4: Improving Scalability with Lock-Free Constructs

    Proceedings - 2021 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2021

  5. TSOPER: Efficient Coherence-Based Strict Persistency

    Proceedings - International Symposium on High-Performance Computer Architecture

2020

  1. Boosting store buffer efficiency with store-prefetch bursts

    Proceedings of the Annual International Symposium on Microarchitecture, MICRO

  2. Clearing the shadows: Recovering lost performance for invisible speculative execution through HW/SW Co-design

    Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT

  3. Speculative enforcement of store atomicity

    Proceedings of the Annual International Symposium on Microarchitecture, MICRO

  4. Understanding Selective Delay as a Method for Efficient Secure Speculative Execution

    IEEE Transactions on Computers, Vol. 69, Núm. 11, pp. 1584-1595

2019

  1. Efficient invisible speculative execution through selective delay and value prediction

    Proceedings - International Symposium on Computer Architecture

  2. Filter caching for free: The untapped potential of the store-buffer

    Proceedings - International Symposium on Computer Architecture

  3. Ghost Loads: What is the Cost of Invisible Speculation?

    ACM International Conference on Computing Frontiers 2019, CF 2019 - Proceedings

2018

  1. Automatic Detection of Large Extended Data-Race-Free Regions with Conflict Isolation

    IEEE Transactions on Parallel and Distributed Systems, Vol. 29, Núm. 3, pp. 527-541

  2. Mending fences with self-invalidation and self-downgrade

    Logical Methods in Computer Science, Vol. 14, Núm. 1

  3. Non-Speculative Load Reordering in Total Store Ordering

    IEEE Micro, Vol. 38, Núm. 3, pp. 48-57

  4. Non-Speculative store coalescing in total store order

    Proceedings - International Symposium on Computer Architecture