Alberto
Ros Bardisa
Catedraticos de Universidad
Uppsala University
Upsala, SueciaPublicaciones en colaboración con investigadores/as de Uppsala University (44)
2023
-
Speculative inter-thread store-to-load forwarding in SMT architectures
Journal of Parallel and Distributed Computing, Vol. 173, pp. 94-106
2022
-
Analysing software prefetching opportunities in hardware transactional memory
Journal of Supercomputing, Vol. 78, Núm. 1, pp. 919-944
-
Free Atomics: Hardware Atomic Operations without Fences
Proceedings - International Symposium on Computer Architecture
-
Splash-4: A Modern Benchmark Suite with Lock-Free Constructs
Proceedings - 2022 IEEE International Symposium on Workload Characterization, IISWC 2022
2021
-
Do Not Predict - Recompute! How Value Recomputation Can Truly Boost the Performance of Invisible Speculation
Proceedings - 2021 International Symposium on Secure and Private Execution Environment Design, SEED 2021
-
Efficient, distributed, and non-speculative multi-address atomic operations
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
-
ITSLF: Inter-thread store-to-load forwarding in simultaneous multithreading
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
-
Splash-4: Improving Scalability with Lock-Free Constructs
Proceedings - 2021 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2021
-
TSOPER: Efficient Coherence-Based Strict Persistency
Proceedings - International Symposium on High-Performance Computer Architecture
2020
-
Boosting store buffer efficiency with store-prefetch bursts
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
-
Clearing the shadows: Recovering lost performance for invisible speculative execution through HW/SW Co-design
Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT
-
Speculative enforcement of store atomicity
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
-
Understanding Selective Delay as a Method for Efficient Secure Speculative Execution
IEEE Transactions on Computers, Vol. 69, Núm. 11, pp. 1584-1595
2019
-
Efficient invisible speculative execution through selective delay and value prediction
Proceedings - International Symposium on Computer Architecture
-
Filter caching for free: The untapped potential of the store-buffer
Proceedings - International Symposium on Computer Architecture
-
Ghost Loads: What is the Cost of Invisible Speculation?
ACM International Conference on Computing Frontiers 2019, CF 2019 - Proceedings
2018
-
Automatic Detection of Large Extended Data-Race-Free Regions with Conflict Isolation
IEEE Transactions on Parallel and Distributed Systems, Vol. 29, Núm. 3, pp. 527-541
-
Mending fences with self-invalidation and self-downgrade
Logical Methods in Computer Science, Vol. 14, Núm. 1
-
Non-Speculative Load Reordering in Total Store Ordering
IEEE Micro, Vol. 38, Núm. 3, pp. 48-57
-
Non-Speculative store coalescing in total store order
Proceedings - International Symposium on Computer Architecture