Publicaciones en colaboración con investigadores/as de Norwegian University of Science and Technology (5)

2021

  1. Do Not Predict - Recompute! How Value Recomputation Can Truly Boost the Performance of Invisible Speculation

    Proceedings - 2021 International Symposium on Secure and Private Execution Environment Design, SEED 2021

2020

  1. Clearing the shadows: Recovering lost performance for invisible speculative execution through HW/SW Co-design

    Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT

  2. Understanding Selective Delay as a Method for Efficient Secure Speculative Execution

    IEEE Transactions on Computers, Vol. 69, Núm. 11, pp. 1584-1595

2019

  1. Efficient invisible speculative execution through selective delay and value prediction

    Proceedings - International Symposium on Computer Architecture

  2. Ghost Loads: What is the Cost of Invisible Speculation?

    ACM International Conference on Computing Frontiers 2019, CF 2019 - Proceedings