Publicaciones en las que colabora con Julio Sahuquillo Borras (20)

2023

  1. Cloud White: Detecting and Estimating QoS Degradation of Latency-Critical Workloads in the Public Cloud

    Future Generation Computer Systems, Vol. 138, pp. 13-25

  2. Thread-to-Core Allocation in ARM Processors Building Synergistic Pairs

    Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT

2022

  1. A Neural Network to Estimate Isolated Performance from Multi-Program Execution

    Proceedings - 30th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2022

  2. DeepP: Deep Learning Multi-Program Prefetch Configuration for the IBM POWER 8

    IEEE Transactions on Computers, Vol. 71, Núm. 10, pp. 2646-2658

  3. Effect of Hyper-Threading in Latency-Critical Multithreaded Cloud Applications and Utilization Analysis of the Major System Resources

    Future Generation Computer Systems, Vol. 131, pp. 194-208

  4. VMT: Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors

    IEEE Transactions on Computers, Vol. 71, Núm. 6, pp. 1386-1398

2020

  1. Bandwidth-aware dynamic prefetch configuration for IBM POWER8

    IEEE Transactions on Parallel and Distributed Systems, Vol. 31, Núm. 8, pp. 1970-1982

  2. Thread Isolation to Improve Symbiotic Scheduling on SMT Multicore Processors

    IEEE Transactions on Parallel and Distributed Systems, Vol. 31, Núm. 2, pp. 359-373

2018

  1. A workload generator for evaluating SMT real-time systems

    Proceedings - 2018 International Conference on High Performance Computing and Simulation, HPCS 2018

  2. Designing lab sessions focusing on real processors for computer architecture courses: A practical perspective

    Journal of Parallel and Distributed Computing, Vol. 118, pp. 128-139

2017

  1. Improving IBM POWER8 Performance Through Symbiotic Job Scheduling

    IEEE Transactions on Parallel and Distributed Systems, Vol. 28, Núm. 10, pp. 2838-2851

  2. Perf&Fair: A Progress-Aware Scheduler to Enhance Performance and Fairness in SMT Multicores

    IEEE Transactions on Computers, Vol. 66, Núm. 5, pp. 905-911

2016

  1. Bandwidth-aware on-line scheduling in SMT multicores

    IEEE Transactions on Computers, Vol. 65, Núm. 2, pp. 422-434

  2. Symbiotic job scheduling on the IBM POWER8

    Proceedings - International Symposium on High-Performance Computer Architecture

2015

  1. Addressing Fairness in SMT Multicores with a Progress-Aware Scheduler

    Proceedings - 2015 IEEE 29th International Parallel and Distributed Processing Symposium, IPDPS 2015

2014

  1. Addressing bandwidth contention in SMT multicores through scheduling

    Proceedings of the International Conference on Supercomputing

  2. Cache-hierarchy contention-aware scheduling in CMPs

    IEEE Transactions on Parallel and Distributed Systems, Vol. 25, Núm. 3, pp. 581-590

2013

  1. L1-bandwidth aware thread allocation in multicore SMT processors

    Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT

  2. Using huge pages and performance counters to determine the LLC architecture

    Procedia Computer Science

2012

  1. Understanding cache hierarchy contention in CMPs to improve job scheduling

    Proceedings of the 2012 IEEE 26th International Parallel and Distributed Processing Symposium, IPDPS 2012