Publicaciones en colaboración con investigadores/as de Uppsala University (27)

2022

  1. Analysing software prefetching opportunities in hardware transactional memory

    Journal of Supercomputing, Vol. 78, Núm. 1, pp. 919-944

2020

  1. Clearing the shadows: Recovering lost performance for invisible speculative execution through HW/SW Co-design

    Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT

  2. Understanding Selective Delay as a Method for Efficient Secure Speculative Execution

    IEEE Transactions on Computers, Vol. 69, Núm. 11, pp. 1584-1595

2019

  1. Efficient invisible speculative execution through selective delay and value prediction

    Proceedings - International Symposium on Computer Architecture

  2. Efficient thread/page/parallelism autotuning for NUMA systems

    Proceedings of the International Conference on Supercomputing

  3. Evaluating the potential applications of quaternary logic for approximate computing

    ACM Journal on Emerging Technologies in Computing Systems, Vol. 16, Núm. 1

  4. Ghost Loads: What is the Cost of Invisible Speculation?

    ACM International Conference on Computing Frontiers 2019, CF 2019 - Proceedings

  5. Message from the Program Chairs

    CGO 2019 - Proceedings of the 2019 IEEE/ACM International Symposium on Code Generation and Optimization

2018

  1. Automatic Detection of Large Extended Data-Race-Free Regions with Conflict Isolation

    IEEE Transactions on Parallel and Distributed Systems, Vol. 29, Núm. 3, pp. 527-541

  2. SWOOP: Software-hardware co-design for non-speculative, execute-ahead, in-order cores

    Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI)

  3. SWOOP: Software-hardware co-design for non-speculative, execute-ahead, in-order cores

    ACM SIGPLAN Notices, Vol. 53, Núm. 4, pp. 328-343

  4. Static Instruction Scheduling for High Performance on Limited Hardware

    IEEE Transactions on Computers, Vol. 67, Núm. 4, pp. 513-527

2017

  1. A dedicated private-shared cache design for scalable multiprocessors

    Concurrency and Computation: Practice and Experience

  2. Automatic detection of extended data-race-free regions

    CGO 2017 - Proceedings of the 2017 International Symposium on Code Generation and Optimization

  3. Clairvoyance: Look-ahead compile-time scheduling

    CGO 2017 - Proceedings of the 2017 International Symposium on Code Generation and Optimization

  4. Transcending hardware limits with software out-of-order processing

    IEEE Computer Architecture Letters, Vol. 16, Núm. 2, pp. 162-165

2016

  1. A Hybrid Static-Dynamic Classification for Dual-Consistency Cache Coherence

    IEEE Transactions on Parallel and Distributed Systems, Vol. 27, Núm. 11, pp. 3101-3115

  2. Multiversioned decoupled access-execute: The key to energy-efficient compilation of general-purpose programs

    Proceedings of CC 2016: The 25th International Conference on Compiler Construction

  3. Poster: Approximation: A new paradigm also for wireless sensing

    International Conference on Embedded Wireless Systems and Networks

2015

  1. A Dual-Consistency Cache Coherence Protocol

    Proceedings - 2015 IEEE 29th International Parallel and Distributed Processing Symposium, IPDPS 2015