Publicacións en colaboración con investigadores/as de Norwegian University of Science and Technology (9)

2020

  1. Clearing the shadows: Recovering lost performance for invisible speculative execution through HW/SW Co-design

    Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT

  2. Understanding Selective Delay as a Method for Efficient Secure Speculative Execution

    IEEE Transactions on Computers, Vol. 69, Núm. 11, pp. 1584-1595

2019

  1. Efficient invisible speculative execution through selective delay and value prediction

    Proceedings - International Symposium on Computer Architecture

  2. Ghost Loads: What is the Cost of Invisible Speculation?

    ACM International Conference on Computing Frontiers 2019, CF 2019 - Proceedings

2018

  1. SWOOP: Software-hardware co-design for non-speculative, execute-ahead, in-order cores

    ACM SIGPLAN Notices, Vol. 53, Núm. 4, pp. 328-343

  2. SWOOP: Software-hardware co-design for non-speculative, execute-ahead, in-order cores

    Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI)

  3. Static Instruction Scheduling for High Performance on Limited Hardware

    IEEE Transactions on Computers, Vol. 67, Núm. 4, pp. 513-527

2017

  1. Clairvoyance: Look-ahead compile-time scheduling

    CGO 2017 - Proceedings of the 2017 International Symposium on Code Generation and Optimization

  2. Transcending hardware limits with software out-of-order processing

    IEEE Computer Architecture Letters, Vol. 16, Núm. 2, pp. 162-165