Efficiency analysis of modern vector architectures: vector ALU sizes, core counts and clock frequencies

  1. Barredo, A.
  2. Cebrian, J.M.
  3. Valero, M.
  4. Casas, M.
  5. Moreto, M.
Journal:
Journal of Supercomputing

ISSN: 1573-0484 0920-8542

Year of publication: 2020

Volume: 76

Issue: 3

Pages: 1960-1979

Type: Article

DOI: 10.1007/S11227-019-02841-6 GOOGLE SCHOLAR